1
0
Fork 0
You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

3 lines
43 B
Verilog

module e;
always #1 $write("e");
endmodule